Skip to content

Blinky

Overview

The Blinky sample is a simple application which blinks an LED forever using the digitalio module. The source code shows how to configure the LED, then turn it on and off.

Requirements

Before you start, check that you have the required hardware and software:

Running the code

To run the code, complete the following steps:

  1. Connect iMX RT1011 Nano Kit to your computer using the USB-C Cable.
  2. Start Mu Editor, click Load to open code.py in the CIRCUITPY drive.
  3. Copy and paste the following code into code.py and click Save:

    CIRCUITPY/code.py
    import time
    import digitalio
    import board
    
    # Red LED
    led = digitalio.DigitalInOut(board.LED)
    led.direction = digitalio.Direction.OUTPUT
    while True:
        led.value = True
        time.sleep(0.1)
        led.value = False
        time.sleep(0.1)
    
  4. Your code will run as soon as the file is done saving. Observe that the Red LED starts to blink.